Whats a lang thats closer to assembly than c? but isnt assembly

whats a lang thats closer to assembly than c? but isnt assembly

Attached: black.jpg (460x320, 37K)

Other urls found in this thread:

llvm.org/docs/LangRef.html
cl.cam.ac.uk/~mr10/BCPL.html
en.m.wikipedia.org/wiki/High_Level_Assembly
youtube.com/watch?v=Dbd7Xu0ibJM
en.wikipedia.org/wiki/High_Level_Assembly
twitter.com/AnonBabble

Might want to try /sqt/
No hate, it's just a good place for short questions.

VHDL

>VHDL
fuvk is dat

Forth

Attached: 8-inch-floppy.jpg (430x319, 16K)

iirc someone told me cobol forced you to set where you want to allocate your data. I don't think you can get closer than that without becoming assembly.

Not sure the context, but most modern assemblers (masm, fasm, nasm) have some macros that basically fulfill this request.

Attached: 1541858740975.jpg (700x461, 50K)

None, C is pretty darn close to ASM. A simple assembly like MIPS is almost 4 instructions per C command on average.

What about VHDL or Verilog?

Those aren't for programming CPUs.

llvm.org/docs/LangRef.html

You can very much program a CPU in VHDL,
also moving goal posts.

VHDL is not a programming language. It's a descriptive language and computing can only be done, when you are simulating the mapped hardware properties with external software. So it is not at all like Assembler.

C+inline asm?
you can even add --masm=intel if you don't like atnt syntax

Maybe (B)CPL?

LLVM IR

Before there was C there was B, before there was B there was BCPL
cl.cam.ac.uk/~mr10/BCPL.html

so a dead language
can you use this for anything besides writing compilers / your own language?

Brainfuck

Forth

LLVM Bytecode

At&t syntax is a god damn abomination

C linked object code

clojure

en.m.wikipedia.org/wiki/High_Level_Assembly

Programming CPUs != Programming a CPU you fucking retard.

Fortran

this is really the only answer

Fortran

Web Assembly

B

You can use VHDL as a general purpose parallel programming language. It's just dumb.

To illustrate my point, I wrote FizzBuzz.

library ieee;
use ieee.std_logic_1164.all;
library std;
use std.textio.all;

entity fizzbuzz is
end fizzbuzz;

architecture structural of fizzbuzz is
begin
process
begin
for i in 1 to 100 loop
if i mod 3 = 0 and i mod 5 = 0 then
write (output, "FIZZBUZZ" & LF);
elsif i mod 3 = 0 then
write (output, "FIZZ" & LF);
elsif i mod 5 = 0 then
write (output, "BUZZ" & LF);
else
write (output, integer'image(i) & LF);
end if;
end loop;
wait;
end process;
end architecture;

I'm not the user you're responding to, but do you feel knowing VHDL is really valuable?

Well, I'm a computer engineer and I mostly work on FPGA's, so yes. If you're doing any sort of hardware design you should know an HDL. If you're asking about VHDL versus other HDL's like SystemVerilog or more esoteric versions like Chisel or MyHDL it depends. SystemVerilog is the industry favourite but VHDL still gets some use, typically in the medical, defense, or aerospace industries. Generally you can get by just knowing one but you'll encounter both inevitably so it helps to be familiar with both. Personally, I prefer VHDL for the RTL portion but heavily prefer SystemVerilog for writing testbenches.

Once you learn one it's all just syntax so it's not difficult to pick up another after that. The most important thing when you're first learning an HDL it is to separate your mind from programming and think about the actual logic. You need to remember that things have delays and everything executes concurrently.

Attached: 1519500778223.gif (500x374, 794K)

Llvm intermediate code maybe. Its something like platform independent Assembly

ty user. what is your take on chuck moore's OKAD design application?

youtube.com/watch?v=Dbd7Xu0ibJM

Instruction list.

There's High Level Assembly.
en.wikipedia.org/wiki/High_Level_Assembly

Not sure why you want it though. You might as well use C, and write whatever assembly code you needed with inline asm, or as a function in a separate .s file and call it from C.

VHDL is hdl. Is completely different fro assmebler

I hadn't heard of it until now but it seems like he designed a standard cell library and used forth to connect them. I'm assuming the visuals are built off of the gate description from the Forth code. It's interesting that it does the layout itself. Pretty much all VLSI / ASIC design these days is basically done by compiling down an HDL into a Verilog netlist, linking it with a standard cell library, then routing the wires and the final layout. Unfortunately all the tools to do that have something like $100,000 licenses per year per engineer. The actual hardware design is very difficult and requires a ton of resources to route well and since it costs several million dollars to bring a single chip to fab people don't want any chance of errors. So typically HDL's just describe the logic gates and the rest of the software handles the actual physical layout.

I've always had a soft spot for stack machines since they're so compact but these days size doesn't matter as much as it did when Forth was invented. Modern designs use billions of transistors and computers have gigabytes of memory. Even all the embedded coding I do these days is C++ though some people are starting to target Java which makes me wretch.

Attached: 1531778147561.jpg (236x236, 32K)

Forth

based and redpilled

This. Fewer abstractions than c, if that's what you mean.

Someday size may matter again. There's space with it's radiation hardening requirements, and then there's graphene/sapphire exotic substrates or whatever.

rust

dc

Machine code.
You didn't say that the level of abstraction had to be between ASM and C, necessarily. Just that it was closer to ASM.